NVIDIA Grace-Prozessor eingeführt, 72 ARM-Kerne basierend auf dem TSMC 4N-Prozess

NVIDIA Grace-Prozessor eingeführt, 72 ARM-Kerne basierend auf dem TSMC 4N-Prozess

Hot Chips 34 war für uns (bisher) das Highlight des Monats. Viele Informationen wurden veröffentlicht, wie zum Beispiel der NVIDIA Grace Hopper Superchip , die NVIDIA Hopper GPU und der Birentech BR100 .  

Was ist ein NVIDIA Grace-Prozessor?

Wir haben zum ersten Mal während der NVIDIA GTC 2022-Präsentation vom Grace Hopper-Superchip gehört . Die Erwähnung des Prozessors von NVIDIA schockierte alle. Der Grace-Hopper-Superchip ist im Wesentlichen ein Superchip mit zwei Chips auf demselben Motherboard. Einer ist die NVIDIA Hopper GPU und der andere ist die Grace CPU. 

Gnadenarchitektur

Die neue Scalable Coherency Fabric (SCF)-Verbindung von NVIDIA liefert einen hohen Durchsatz von 3,2 TB/s über eine Vielzahl von Grace-Chips hinweg. Dieses Grid skaliert auf bis zu 72+ Kerne, wobei jede CPU über 117 MB L3-Cache verfügt.  

Die NVIDIA Grace CPU ist mit 72 Arm v9.0-Kernen ausgestattet, die mit dem TSMC 4N-Prozessknoten entwickelt wurden, der im Wesentlichen eine verbesserte Version des 5-nm-Prozesses ist. Erwarten Sie mit 25,1 Milliarden Transistoren eine extrem hohe Rechenleistung. 

Spezifikationen des NVIDIA Grace-Prozessors

NVIDIA plant, 512 GB LPPDR5x-Speicher für seinen Grace-Hopper-Superchip zu verwenden. Warum fragst du. 512 GB LPDDR5x-Speicher, verteilt auf 32 Kanäle, bieten die beste Effizienz/Kosteneinsparungen und sind dennoch so leistungsfähig wie andere Alternativen. 

NVIDIA-Speicherauswahl

NV-Link-Schnittstelle

Um die Kommunikation zwischen Chips zu ermöglichen, hat NVIDIA seine NVLink-Technologie eingeführt. Diese Schnittstelle bietet eine Bandbreite von etwa 900 GB/s, das ist 7-mal mehr als die PCIe 5.0 x 16-Schnittstelle.NVLink-C2C verwendet nur 1,3 pJ/Bit, was 5-mal effizienter ist als PCIe Gen 5.0.

Verbinden Picojoule pro Bit (pJ/b)
NVLink-C2C 1,3 pJ/b
UCIE 0,5 – 0,25 pJ/bar
Endloses Tuch ~1,5 pJ/b
TSMC CoWoS 0,56 pJ/b
foveros 0,2 pJ/b
EiB 0,3 pJ/b
Kabelbündel (BoW) 0,7 bis 0,5 pJ/b
auf einem Kristall 0,1 pJ/b

Energieverbrauch

Laut NVIDIA soll ein kompletter Superchip etwa 500 W Leistung verbrauchen. Es ist wirklich beeindruckend, wenn man bedenkt, welche Leistung es zu bieten hat. Der AMD EPYC 7763 (2x) verbraucht etwa 560W (280×2), NVIDIA führt also tatsächlich.

Leider kann es Minecraft nicht mit aktiviertem RTX spielen, da NVIDIA sagt, dass dieser Superchip speziell für KI-Workloads entwickelt wurde. Grace-CPUs eignen sich eher für High Performance Computing, während Hopper-GPUs für KI-Training und High Performance Computing ausgelegt sind. 

Schreibe einen Kommentar

Deine E-Mail-Adresse wird nicht veröffentlicht. Erforderliche Felder sind mit * markiert